. CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟)
                                                                      
 
 
 
 

CPLD數位邏輯設計實務--使用MAX+PLUS II工具及VHDL語言設計(附範例系統光碟) 
作者: 楊明豐
書號: AEH001000     出版日: 2010/11/08
ISBN: 9789861819464     EAN: 9789861819464
紙本書價格: 450     附件:  CD*1
電子書: 尚未出版
試讀  
  博客來  
  金石堂  
  天瓏  
  團體購書



回頁首
●本書是以美國ALTERA公司MAX+plusII軟體為發展工具,並以VHDL硬體描述語言為設計基礎,重點說明數位電路設計的概念,內容涵蓋最完整的週邊應用,如LED、矩陣型LED、七段顯示器、LCD模組、按鍵開關、蜂鳴器、直流馬達、步進馬達、伺服馬達等。
●本書內容深入淺出,由最簡單的數位邏輯電路引導學習者快速建立VHDL硬體描述語言的設計基礎到最後完整的專題電路應用範例的實務經驗教學。適合作為高職及大專院校電子、電機、資訊等專業相關課程的教材,對於從事電子工程設計的科技人員也是極佳的參考書。
●本書強調數位邏輯電路的設計實務,藉由實作方式來介紹 VHDL 硬體描述語言的設計技巧,範例充實且應用生活化,學習者可組合書中部份程式輕鬆快速的完成專題電路設計。
●所有實習單元內容,從軟體設計、波形模擬除錯到硬體電路製作,皆有詳細圖解說明。
●程式模組化設計,由淺入深的範例編排,以硬體觀念組合書中部份程式,即可完成專題電路。
●每個實習單元完成後,皆有與本單元相關的練習,立即評量以加強學習效果。
●階段式學習編排,從圖形(Graphic)編輯設計、符號(Symbol)編輯設計、波形(Waveform)編輯設計及文字(Text)編輯設計等皆有詳細範例說明,適合新手及進階的學習。

回頁首

書籍內容主要分為三部份簡介如下:
第一部份介紹MAX+plusII開發工具的使用及VHDL語言的設計基礎
第二部份說明數位邏輯IC的設計,如基本邏輯閘、加法器、減法器、編碼器、解碼器、多工器、解多工器、比較器、正反器、暫存器、計數器、狀態機等。
第三部份說明常用週邊元件的應用電路設計,如LED、按鍵開關、七段顯示器、矩陣型LED、LCD模組、蜂鳴器、直流馬達、步進馬達、伺服馬達等。

chapter 1 CPLD 簡介
  1-1 何謂CPLD
  1-2 Max+PlusⅡ軟體下載與安裝
  1-3 Max+PlusⅡ的License設定
  1-4 安裝Altera Byteblaster燒錄驅動程式(Windows XP)
chapter 2 圖形編輯設計
  2-1 圖形編輯快速入門
  2-2 圖形編輯的階層式設計
chapter 3 VHDL語言基礎
  3-1 前言
  3-2 VHDL基本架構
  3-3 VHDL資料型態
  3-4 運算子
  3-5 VHDL資料物件
  3-6 VHDL的敘述語法
chapter 4 組合邏輯電路
  4-1 基本邏輯閘
  4-2 組合邏輯
chapter 5 順序邏輯電路
  5-1 前言
  5-2 RS閂
  5-3 正反器
  5-4 暫存器
  5-5 計數器
  5-6 狀態機
  5-7 計時脈波
chapter 6 LED實習
  6-1 相關知識
  6-2 單燈右移
  6-3 霹靂燈
  6-4 交通號誌
chapter 7 按鍵控制實習
  7-1 相關知識
  7-2 指撥開關控制LED亮/暗實習
  7-3 指撥開關控制LED閃爍速度實習
  7-4 指撥開關控制(三)實習
  7-5 指撥開關控制LED變化實習
  7-6 按鍵開關控制LED左、右移位實習
  7-7 按鍵開關控制LED變化實習
  7-8 矩陣鍵盤控制實習
chapter 8 七段顯示器實習
  8-1 相關知識
  8-2 一位數BCD上數計數器
  8-3 二位數BCD上數計數器
  8-4 四位數BCD上數計數器
  8-5 24小時制電子鐘
  8-6 按鍵開關控制一位數BCD計數器
  8-7 按鍵開關控制二位數BCD計數器
  8-8 按鍵開關控制四位數BCD計數器
  8-9 矩陣鍵盤控制四位數七段顯示器
chapter 9 矩陣型LED控制實習
  9-1 相關知識
  9-2 靜態字元顯示控制實習
  9-3 靜態字串顯示控制實習
  9-4 動態字元顯示控制實習
  9-5 動態字串顯示控制實習
  9-6 電梯顯示面板(一)控制實習
  9-7 電梯顯示面板(二)控制實習
chapter 10 文字型LCD模組控制實習
  10-1 相關知識
  10-2 LCM內建字型顯示控制實習
  10-3 LCM自建字型顯示控制實習
  10-4 LCM移位字型顯示控制實習
  10-5 LCM計時器實習
  10-6 LCM數字鐘實習
chapter 11 步進馬達控制實習
  11-1 相關知識
  11-2 步進馬達1相激磁正轉控制實習
  11-3 步進馬達1-2相激磁正轉控制實習
  11-4 步進馬達正轉、反轉控制實習
  11-5 步進馬達正轉、反轉、加速、停止控制實習
  11-6 步進馬達正轉、反轉、加速、減速控制實習
  11-7 可設定步進數之步進馬達控制實習
chapter 12 伺服馬達控制實習
  12-1 相關知識
  12-2 固定角度型伺服機控制實習(一)
  12-3 固定角度型伺服機控制實習(二)
  12-4 連續旋轉型伺服機控制實習
chapter 13 直流馬達控制實習
  13-1 相關知識
  13-2 直流馬達轉速及轉向控制實習
  13-3 智慧型風扇實習
  13-4 單鍵控制智慧風扇實習
  13-5 LED調光器實習
  13-6 拖尾效果霹靂燈實習
chapter 14 類比/數位(A/D)介面實習
  14-1 相關知識
  14-1-1感測器
  14-2 A/D轉換器
  14-3 0~5V數位直流電壓表
  14-4 0~50C數位溫度計
chapter 15 數位/類比(D/A)介面實習
  15-1 相關知識
  15-2 D/A轉換器
  15-3 指撥開關設定0~5V電源供應器
  15-4 鍵盤設定0~5V電源供應器
chapter 16 聲音控制實習
  16-1 相關知識
  16-2 單音產生器
  16-3 救護車聲音產生器
  16-4 電子琴電路
  16-5 電子音樂盒
Appendix A CPLD燒錄介面電路
  A-1 ByteBlaster II燒錄介面電路
  A-2 44-Pin / 84-Pin腳位圖




回頁首

8051入門--輕鬆學(附光碟) 8051單晶片C語言設計實務--使用Keil C(附範例程式)


回頁首

1.全書範例原始程式檔
2.MAX+plusII ver. 10.2版

僅提供已加入碁峰會員之教師申請任教科目之教師資源:教學投影片、習題解答、教學樣書、線上測驗系統…,若申請未任教科目之教學資源,碁峰保有核發與否之權利。
若您已是碁峰教師會員,請直接於左方 教師登入區 輸入帳號密碼。
若您尚未加入會員,請先 加入會員,即可享有多項教師專屬服務。
遍佈北中南,陣容堅強的碁峰業務團隊,都將竭誠的為您服務
請利用申請表單填寫欲申請之相關教學資源,將盡快為您處理
教學配件(教學投影片)

  
 

關於碁峰隱私權政策聯絡我們     檢視 : PC 版  手機版
碁峰資訊股份有限公司 GOTOP INFORMATION INC.
台北市南港區三重路66號7樓之6 / 7F.-6,No.66,Sanchong Rd.,Nangang District,Taipei
TEL:(02)2788-2408 FAX:(02)8192-4433 劃撥帳號:14244383
Copyright 2014© GOTOP Information Inc, All Rights Reserved 請勿任意連結、轉載